Refereed Conferences

  1. Jeageun Jung, and Mattan Erez. Predicting Future-System Reliability with a Component-Level DRAM Fault Model. In to appear in the Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO). 2023. (BibTeX)
  2. Ali Fakhrzadehgan, Prakash Ramrakhyani, Moinuddin Qureshi, and Mattan Erez. SecDDR: Enabling Low-Cost Secure Memories by Protecting the DDR Interface. In Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). 2023. (BibTeX)
  3. Majid Jalili, and Mattan Erez. Reducing Load Latency with Cache Level Prediction. In in the proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). 2022. (BibTeX)
  4. Lee, Hochan, Ruys, William, Henriksen, Ian, Peters, Arthur, Yan, Yineng, Stephens, Sean, You, Bozhi, Fingler, Henrique, Burtscher, Martin, Gligoric, Milos, and others. Parla: a Python orchestration system for heterogeneous architectures. In Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC22). 2022. (BibTeX)
  5. Benjamin Y. Cho, Jeageun Jung, and Mattan Erez. Accelerating Bandwidth-Bound Deep Learning Inference with Main-Memory Accelerators. In in the proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC21). nov, 2021. (BibTeX)
  6. Steven Zhu, Nader Al Awar, Mattan Erez, and Milos Gligoric. Dynamic Generation of Python Bindings for HPC Kernels. In in the proceedings of the IEEE/ACM International Conference on Automated Software Engineering (ASE). 2021. (BibTeX)
  7. Amanda Raybuck, Tim Stamler, Wei Zhang, Mattan Erez, and Simon Peter. HeMem: Scalable Tiered Memory Management for Big Data Applications and Real NVM. In in the proceedings of the ACM Symposium on Operating Systems Principles (SOSP). 2021. (BibTeX)
  8. Benjamin Y. Cho, Yongkee Kwon, Sangkug Lym, and Mattan Erez. Near Data Acceleration with Concurrent Host Access. In the Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA). 2020. (BibTeX)
  9. Esha Choukse, Michael B. Sullivan, Mike O’Connor, Mattan Erez, Jeff Pool, David Nellans, and Stephen W. Keckler. Buddy Compression: Enabling Larger Memory for Deep Learning and HPC Workloads on GPUs. In the Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA). 2020. (BibTeX)
  10. L. Jaulmes, M. Moreto, M. Valero, M. Erez, and M. Casas. Runtime-Guided ECC Protection using Online Estimation of Memory Vulnerability. In the proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC20). nov, 2020, pages 1–14. ((URL)) (BibTeX)
  11. L. Yavits, L. Orosa, S. Mahar, J. D. Ferreira, M. Erez, R. Ginosar, and O. Mutlu. WoLFRaM: Enhancing Wear-Leveling and Fault Tolerance in Resistive Memories using Programmable Address Decoders. In in the proceedings of the IEEE 38th International Conference on Computer Design (ICCD). 2020, pages 187–196. (BibTeX)
  12. Sangkug Lym, Donghyuk Lee, Mike O’Connor, Niladrish Chatterjee, and Mattan Erez. DeLTA: GPU Performance Model for Deep Learning. In the Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 2019. (PDF) (BibTeX)
  13. Haishan Zhu, David Lo, Liqun Cheng, Rama Govindaraju, Parthasarathy Ranganathan, and Mattan Erez. Kelp: QoS for Accelerators in Machine Learning Platforms. In the Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). Washington D.C. February, 2019. (PDF) (BibTeX)
  14. Sangkug Lym, Armand Behroozi, Wei Wen, Ge Li, Yongkee Kwon, and Mattan Erez. Mini-batch Serialization: CNN Training with Inter-layer Data Reuse. In the Proceedings of SysML 2019. 2019. ((URL)) (PDF) (BibTeX)
  15. Kyushick Lee, Michael Sullivan, Siva Kumar Sastry Hari, Timothy Tsai, Stephen W. Keckler, and Mattan Erez. GPU Snapshot: Checkpoint Offloading for GPU-Dense Systems. In Proceedings of the International Conference on Supercomputing (ICS). 2019. (PDF) (BibTeX)
  16. Sangkug Lym, Esha Choukse, Siavash Zangeneh, Wei Wen, Sujay Sanghavi, and Mattan Erez. PruneTrain: Fast Neural Network Training by Dynamic Sparse Model Reconfiguration. In the Proceedings of the ACM/IEEE International Conference on High-Performance Computing, Networking, Storage, and Analysis (SC19). 2019. (PDF) (BibTeX)
  17. Chun-Kai Chang, Wenqi Yin, and Mattan Erez. Assessing The Impact of Timing Errors on HPC Applications. In the Proceedings of the ACM/IEEE International Conference on High-Performance Computing, Networking, Storage, and Analysis (SC19). 2019. (PDF) (BibTeX)
  18. Sangkug Lym, Heonjae Ha, Yongkee Kwon, Chun-Kai Chang, Jungrae Kim, and Mattan Erez. ERUCA: Efficient DRAM Resource Utilization and Resource Conflict Avoidance for Memory System Parallelism. In the Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). Vienna, Austria, February, 2018, pages 1–14. (PDF) (BibTeX)
  19. Seong-Lyong Gong, Jungrae Kim, Sangkug Lym, Michael Sullivan, Howard David, and Mattan Erez. DUO: Exposing On-Chip Redundancy to Rank-Level ECC for High Reliability. In the Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). Vienna, Austria, February, 2018, pages 1–14. (PDF) (BibTeX)
  20. Chun-Kai Chang, Sangkug Lym, Nicholas Kelly, Michael B. Sullivan, and Mattan Erez. Evaluating and Accelerating High-Fidelity Error Injection for HPC. In Proceedings of the ACM/IEEE International Conference on High-Performance Computing, Networking, Storage, and Analysis (SC18). Dallas, TX, November, 2018. (PDF) (BibTeX)
  21. Tianhao Zheng, Haishan Zhu, and Mattan Erez. SIPT: Speculatively Indexed, Physically Tagged Caches. In the Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). Vienna, Austria, February, 2018, pages 1–14. (PDF) (BibTeX)
  22. Esha Chouke, Alaa Alameldeen, and Mattan Erez. Compresso: Pragmatic Main Memory Compression. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO). Fukuoka, Japan, October, 2018. (PDF) (BibTeX)
  23. Subasi, Omer, Chang, Chun-Kai, Erez, Mattan, and Krishnamoorthy, Sriram. Characterizing the Impact of Soft Errors Affecting Floating-point ALUs Using RTL-Ievel Fault Injection. In Proceedings of the International Conference on Parallel Processing (ICPP). 2018, pages 59:1–59:10. (BibTeX)
  24. Hana Alam, Tianhao Zheng, Mattan Erez, and Yoav Etsion. Do It Yourself Virtual Memory Translation. In the Proceedings of ISCA. Toronto, Canada, June, 2017, pages 1–12. (PDF) (BibTeX)
  25. Jungrae Kim, Michael Sullivan, Sangkug Lym, and Mattan Erez. All-Inclusive ECC: Thorough End-to-End Protection for Reliable Computer Memory. In the Proceedings of ISCA. Seoul, South Korea, June, 2016, pages 622–633. (PDF) (BibTeX)
  26. Haishan Zhu, and Mattan Erez. Dirigent: Enforcing QoS for Latency-Critical Tasks on Shared Multicore Systems. In the Proceedings of ASPLOS. Atlanta, GA, April, 2016, pages 33–47. (PDF) (SLIDES) (BibTeX)
  27. Jungrae Kim, Michael Sullivan, Esha Choukse, and Mattan Erez. Bit-Plane Compression: Transforming Data for Better Compression in Many-core Architectures. In the Proceedings of ISCA. Seoul, South Korea, June, 2016, pages 329–340. (PDF) (BibTeX)
  28. Dong-Wan Kim, and Mattan Erez. RelaxFault Memory Repair. In the Proceedings of ISCA. Seoul, South Korea, June, 2016, pages 645–657. (PDF) (BibTeX)
  29. Dong Wan Kim, and Mattan Erez. Balancing Reliability, Cost, and Performance Tradeoffs with FreeFault. In the Proceedings of HPCA. Burlingame, CA, February, 2015, pages 439–450. (PDF) (BibTeX)
  30. Dong Li, Minsoo Rhu, Daniel R. Johnson, Mike O’Connor, Mattan Erez, Doug Burger, Donald S. Fussell, and Stephen W. Keckler. Priority-Based Cache Allocation in Throughput Processors. In the Proceedings of HPCA. Burlingame, CA, February, 2015, pages 89–100. (PDF) (BibTeX)
  31. Jungrae Kim, Michael Sullivan, Seong-Lyong Gong, and Mattan Erez. Frugal ECC: Efficient and Versatile Memory Error Protection through Fine-Grained Compression. In the Proceedings of SC15. Austin, TX, November, 2015, pages 12:1–12. (PDF) (BibTeX)
  32. Seong-Lyong Gong, Minsoo Rhu, Jungrae Kim, Jinsuk Chung, and Mattan Erez. CLEAN-ECC: High Reliability ECC for Adaptive Granularity Memory System. In the Proceedings of MICRO. Waikiki, HI, December, 2015, pages 611–622. (PDF) (BibTeX)
  33. Jungrae Kim, Michael Sullivan, and Mattan Erez. Bamboo ECC: Strong, Safe, and Flexible Codes for Reliable Computer Memory. In the Proceedings of HPCA. Burlingame, CA, February, 2015, pages 101–112. (PDF) (BibTeX)
  34. Minsoo Rhu, Michael Sullivan, Jingwen Leng, and Mattan Erez. A Locality-Aware Memory Hierarchy for Energy-Efficient GPU Architectures. In the Proceedings of MICRO. Davis, CA, December, 2013, pages 86–98. (PDF) (BibTeX)
  35. Minsoo Rhu, and Mattan Erez. The Dual-Path Execution Model for Efficient GPU Control Flow. In the Proceedings of HPCA. Shenzhen, China, February, 2013, pages 561–602. (PDF) (BibTeX)
  36. Minsoo Rhu, and Mattan Erez. Maximizing SIMD Resource Utilization in GPGPUs with SIMD Lane Permutation. In the Proceedings of ISCA. Tel Aviv, Israel, June, 2013, pages 356–367. (PDF) (BibTeX)
  37. Tianhao Zheng, Jaeyoung Park, Michael Orshansky, and Mattan Erez. Variable-Energy Write STT-RAM Architecture with Bit-Wise Write-Completion Monitoring. In the Proceedings of ISLPED. Beijing, China, September, 2013, pages 229–234. (PDF) (BibTeX)
  38. Robert Pawlowski, Evgeni Krimer, Joseph Crop, Jacob Postman, Nariman Moezzi-Madani, Mattan Erez, and Patrick Chiang. A 530mV 10-Lane SIMD Processor With Variation Resiliency in 45nm SOI. In the proceedings of ISSCC. San Francisco, CA, February, 2012, pages 492–494. (BibTeX)
  39. Min Kyu Jeong, Doe Hyun Yoon, Dam Sunwoo, Michael Sullivan, Ikhwan Lee, and Mattan Erez. Balancing DRAM Locality and Parallelism in Shared Memory CMP Systems. In the proceedings of HPCA. New Oreleans, LA, February, 2012, pages 1–12. (PDF) (BibTeX)
  40. Minsoo Rhu, and Mattan Erez. CAPRI: Prediction of Compaction-Adequacy for Handling Control-Divergence in GPGPU Architectures. In the proceedings of ISCA. Portland, OR, June, 2012, pages 61–71. (PDF) (BibTeX)
  41. Doe Hyun Yoon, Min Kyu Jeong, Michael B. Sullivan, and Mattan Erez. The Dynamic Granularity Memory System. In the proceedings of ISCA. Portland, OR, June, 2012, pages 548–559. (PDF) (BibTeX)
  42. Jinsuk Chung, Ikhwan Lee, Michael Sullivan, Jee Ho Ryoo, Dong Wan Kim, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. Containment Domains: A Scalable, Efficient, and Flexible Resilience Scheme for Exascale Systems. In the Proceedings of SC12. Salt Lake City, UT, November, 2012, pages 58:1–11. (PDF) (SLIDES) (BibTeX)
  43. Min Kyu Jeong, Chander Sudanthi, Nigel Paver, and Mattan Erez. A QoS-Aware Memory Controller for Dynamically Balancing GPU and CPU Bandwidth Use in an MPSoC. In the Proceedings of DAC. San Francisco, CA, June, 2012, pages 855–860. (PDF) (BibTeX)
  44. Evgeni Krimer, Patrick Chiang, and Mattan Erez. Lane Decoupling for Improving the Timing-Error Resiliency of Wide-SIMD Architectures. In the proceedings of ISCA. Portland, OR, June, 2012, pages 237–248. (PDF) (SLIDES) (BibTeX)
  45. Doe Hyun Yoon, Naveen Muralimanohar, Jichuan Chang, Parthasarathy Ranganathan, Norman P. Jouppi, and Mattan Erez. FREE-p: Protecting Non-Volatile Memory against both Hard and Soft Errors. In the proceedings of HPCA. San Antonio, TX, February, 2011, pages 466–477. (PDF) (SLIDES) (BibTeX)
  46. Doe Hyun Yoon, Min Kyu Jeong, and Mattan Erez. Adaptive Granularity Memory Systems: A Tradeoff between Storage Efficiency and Throughput. In the proceedings of ISCA. San Jose, CA, June, 2011, pages 295–306. (PDF) (BibTeX)
  47. Doe Hyun Yoon, and Mattan Erez. Virtualized and Flexible ECC for Main Memory. In the proceedings of ASPLOS. Pittsburgh, PA, March, 2010, pages 397–408. (PDF) (SLIDES) (BibTeX)
  48. Mehmet Basoglu, Michael Orshansky, and Mattan Erez. NBTI-Aware DVFS: a New Approach To Saving Energy And Increasing Processor Lifetime. In the proceedings of ISLPED. Austin, TX, August, 2010, pages 253–258. (PDF) (BibTeX)
  49. Doe Hyun Yoon, and Mattan Erez. Memory Mapped ECC: Low-Cost Error Protection for Last Level Caches. In the proceedings of ISCA. Austin, TX, June, 2009, pages 116–127. (PDF) (SLIDES) (BibTeX)
  50. Mehmet Basoglu, and Mattan Erez. Improving Multi-core Processor Energy Efficiency and Lifetime by Embracing Variability and Wearout. In the proceedings of the Austin Conference on Integrated Systems and Circuits (ACISC). Austin, TX, October, 2009, pages 1–5. (PDF) (BibTeX)
  51. Doe Hyun Yoon, and Mattan Erez. Flexible Cache Error Protection using an ECC FIFO. In the proceedings of SC09. Portland, OR, November, 2009, pages 49:1–12. (PDF) (BibTeX)
  52. Tushar Krishna, Amit Kumar, Patrick Chiang, Mattan Erez, and Li-Shiuan Peh. NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication. In the proceedings of High-Performance Interconnects (HotI-16). Stanford, CA, August, 2008, pages 11–20. (PDF) (BibTeX)
  53. Timothy Knight, Ji Young Park, Manman Ren, Mike Houston, Mattan Erez, Kayvon Fatahalian, Alex Aiken, William Dally, and Pat Hanrahan. Compilation for Explicitly Managed Memory Hierarchies. In the proceedings of PPoPP. San Jose, CA, March, 2007, pages 226–236. (PDF) (BibTeX)
  54. Mattan Erez, Jung Ho Ahn, Jayanth Gummaraju, Mendel Rosenblum, and William J. Dally. Executing Irregular Scientific Applications on Stream Architectures. In the proceedings of ICS. Seattle, WA, June, 2007, pages 93–104. (PDF) (BibTeX)
  55. Jung Ho Ahn, William J. Dally, and Mattan Erez. Tradeoff between Data-, Instruction-, and Thread-level Parallelism in Stream Processors. In the proceedings of ICS. Seattle, WA, June, 2007, pages 126–137. (PDF) (BibTeX)
  56. Jayanth Gummaraju, Mattan Erez, Joel Coburn, Mendel Rosenblum, and William J. Dally. Architectural Support for the Stream Execution Model on General-Purpose Processors. In the proceedings of PACT. Brasov, Romania, September, 2007, pages 3–12. ((URL)) (PDF) (BibTeX)
  57. Ulrich Barnhoefer, Moon-Jung Kim, and Mattan Erez. A Low Power, Passively Cooled 2000cd/m2 Hybrid LED-LCD Display. In the proceedings of IEEE International Symposium on Consumer Electronics. St. Petersburg, Russia, June, 2006, pages 1–4. (PDF) (BibTeX)
  58. Jung Ho Ahn, Mattan Erez, and William J. Dally. The design space of data-parallel memory systems. In the proceedings of SC06. Tampa, FL, November, 2006. ACM, pages 80:1–12. (PDF) (BibTeX)
  59. Kayvon Fatahalian, Timothy J. Knight, Mike Houston, Mattan Erez, Daniel Reiter Horn, Larkhoon Leem, Ji Young Park, Manman Ren, Alex Aiken, William J. Dally, and Pat Hanrahan. Sequoia: programming the memory hierarchy. In the proceedings of SC06. Tampa, FL, November, 2006. ACM, pages 83:1–13. (PDF) (BibTeX)
  60. Jung Ho Ahn, Mattan Erez, and William J. Dally. Scatter-Add in Data Parallel Architectures. In the proceedings of HPCA. San Francisco, CA, February, 2005, pages 132–142. (PDF) (BibTeX)
  61. Mattan Erez, Nuwan Jayasena, Timothy J. Knight, and William J. Dally. Fault Tolerance Techniques for the Merrimac Streaming Supercomputer. In the proceedings of SC05. Seattle, WA, November, 2005, pages 29:1–11. (PDF) (BibTeX)
  62. Nuwan Jayasena, Mattan Erez, Jung Ho Ahn, and William J. Dally. Stream Register Files with Indexed Access. In the proceedings of HPCA. Madrid, Spain, February, 2004, pages 60–72. (PDF) (BibTeX)
  63. Mattan Erez, Jung Ho Ahn, Ankit Garg, William J. Dally, and Eric Darve. Analysis and Performance Results of a Molecular Modeling Application on Merrimac. In the proceedings of SC04. Pittsburgh, PA, November, 2004, pages 42:1–10. (PDF) (BibTeX)
  64. William J. Dally, Patrick Hanrahan, Mattan Erez, Timothy J. Knight, Francois Labonte, Jung-Ho Ahn, Nuwan Jayasena, Ujval J. Kapasi, Abhishek Das, Jayanth Gummaraju, and Ian Buck. Merrimac: Supercomputing with Streams. In the proceedings of SC03. Phoenix, AZ, November, 2003, pages 35:1–8. (PDF) (BibTeX)
  65. Stephan Jourdan, Lihu Rappoport, Yoav Almog, Mattan Erez, Adi Yoaz, and Ronny Ronen. eXtendedBlock Cache. In the proceedings of HPCA. Toulouse, France, January, 2000, pages 61–70. (PDF) (BibTeX)
  66. Adi Yoaz, Mattan Erez, Ronny Ronen, and Stephan Jourdan. Speculation Techniques for Improving Load Related Instruction Scheduling. In the proceeings of ISCA. Atlanta, GA, May, 1999, pages 42–53. (PDF) (BibTeX)

Journals and Magazines

  1. Jalili, Majid, and Erez, Mattan. Managing prefetchers with deep reinforcement learning. IEEE Computer Architecture Letters, 21(2):105–108, 2022. (BibTeX)
  2. Tomer Morad, Gil Shomron, Mattan Erez, Avinoam Kolodny, and Uri Weiser. Optimizing Read-Once Data Flow in Big-Data Applications. IEEE Computer Architecture Letters, 16(1):68–71, January, 2017. (BibTeX)
  3. Zhihao Jia, Yongkee Kwon, Galen Shipman, Pat McCormick, Mattan Erez, and Alex Aiken. A Distributed Multi-GPU System for Fast Graph Processing. Proceedings of the VLDB Endownment, 11:297–310, November, 2017. ((URL)) (BibTeX)
  4. Jaeyoung Park, Tianhao Zheng, Mattan Erez, and Michael Orshansky. Variation-Tolerant Write Completion Circuit for Variable-Energy Write STT-RAM Architecture. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(4):1351–1360, April, 2016. (BibTeX)
  5. Marc Snir, Robert W Wisniewski, Jacob A Abraham, Sarita V Adve, Saurabh Bagchi, Pavan Balaji, Jim Belak, Pradip Bose, Franck Cappello, Bill Carlson, Andrew A Chien, Paul Coteus, Nathan A DeBardeleben, Pedro C Diniz, Christian Engelmann, Mattan Erez, Saverio Fazzari, Al Geist, Rinku Gupta, Fred Johnson, Sriram Krishnamoorthy, Sven Leyffer, Dean Liberty, Subhasish Mitra, Todd Munson, Rob Schreiber, Jon Stearley, and Eric Van Hensbergen. Addressing Failures in Exascale Computing. International Journal of High Performance Computing Applications, 28(2):129–173, May, 2014. (BibTeX)
  6. Jinsuk Chung, Ikhwan Lee, Michael Sullivan, Jee Ho Ryoo, Dong Wan Kim, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. Containment Domains: A Scalable, Efficient, and Flexible Resilience Scheme for Exascale Systems. Scientific Programming, 21(3):197–212, January, 2013. (BibTeX)
  7. Doe Hyun Yoon, Min Kyu Jeong, Michael Sullivan, and Mattan Erez. Towards Proportional Memory Systems. Intel Technology Journal, 17:118–139, 2012. ((URL)) (BibTeX)
  8. Doe Hyun Yoon, Naveen Muralimanohar, Jichuan Chang, Parthasarthy Ranganathan, Norman P. Jouppi, and Mattan Erez. FREE-p: A Practical End-to-End Nonvolatile Memory Protection Mechanism. IEEE Micro TopPicks, 32(3):79–87, May, 2012. (BibTeX)
  9. Joseph Crop, Evgeni Krimer, Nariman Moezzi-Madani, Robert Pawlowski, Thomas Ruggeri, Patrick Chiang, and Mattan Erez. Error Detection and Recovery Techniques for Variation-Aware CMOS Computing: A Comprehensive Review. Journal of Low Power Electronics and Applications, 1(3):334–356, October, 2011. (BibTeX)
  10. Evgeni Krimer, Isaac Keslassy, Avinoam Kolodny, Isask’har Walter, and Mattan Erez. Static timing analysis for modeling QoS in networks on chip. Journal of Parallel and Distributed Computing, 71(5):687–699, May, 2011. (PDF) (BibTeX)
  11. Doe Hyun Yoon, and Mattan Erez. Virtualized ECC: Flexible Reliability in Main Memory. IEEE Micro, 31(1):11–19, 2011. (BibTeX)
  12. Evgeni Krimer, and Mattan Erez. The Power of 1 + $\alpha$; for Memory-Efficient Bloom Filters. Internet Mathematics, 7(1):28–44, March, 2011. (PDF) (BibTeX)
  13. Evgeni Krimer, Robert Pawlowski, Mattan Erez, and Patrick Chiang. Synctium: a Near-Threshold Stream Processor for Energy-Constrained Parallel Applications. IEEE IEEE Computer Architecture Letters, 9(1):21–24, January, 2010. (PDF) (BibTeX)
  14. Tushar Krishna, Amit Kumar, Li-Shiuan Peh, Jacob Postman, Patrick P. Chiang, and Mattan Erez. Express Virtual Channels with Capacitively Driven Global Links. IEEE Micro, 29:48–61, August, 2009. (BibTeX)

Books and Chapters

  1. Alan Gatherer, Haishan Zhu, and Mattan Erez. Baseband Architectures to Support Wireless Cellular Infrastructure: History and Future Evolution. In Academic Press Library in Mobile and Wireless Communications — Transmission Techniques for Digital Communications, pages 689–705. . Elsevier, 2016. ((URL)) (BibTeX)
  2. Mattan Erez, and William J. Dally. Stream Processors. In Multicore Processors and Systems, pages 231–270. . Springer, 2009. ((URL)) (BibTeX)

Technical Reports

  1. Michael Sullivan, Ikhwan Lee, Jinsuk Chung, Song Zhang, Seong-Lyong Gong, Derong Liu, Michael LeBeane, Kyushick Lee, and Mattan Erez. Containment Domains Semantics version 0.2. Technical report Tr-LPH-2014–001, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, February, 2014. (PDF) (BibTeX)
  2. Michael Sullivan, Ikhwan Lee, Jinsuk Chung, Song Zhang, Seong-Lyong Gong, Derong Liu, Michael LeBeane, and Mattan Erez. Containment Domains Semantics version 0.1. Technical report Tr-LPH-2013–001, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, October, 2013. (PDF) (BibTeX)
  3. Ikhwan Lee, Michael Sullivan, Evgeni Krimer, Dong Wan Kim, Mehmet Basoglu, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. Survey of Error and Fault Detection Mechanisms v2. Technical report TR-LPH-2012–001, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, December, 2012. (PDF) (BibTeX)
  4. Ikhwan Lee, Mehmet Basoglu, Michael Sullivan, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. Survey of Error and Fault Detection Mechanisms. Technical report TR-LPH-2011–002, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, April, 2011. (PDF) (BibTeX)
  5. Michael Sullivan, Doe Hyun Yoon, and Mattan Erez. Containment Domains: A Full-System Approach to Computational Resiliency. Technical report TR-LPH-2011–001, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, January, 2011. (PDF) (BibTeX)
  6. Evgeni Krimer, Isaac Keslassy, Avinoam Kolodny, Isask’har Walter, and Mattan Erez. Packet-Level Static Timing Analysis for NoCs. Technical report CCIT #737, Department of Electrical Engineering, Technion, July, 2009. (BibTeX)
  7. Mattan Erez. Merrimac — High-Performance, Highly-Efficient Scientific Computing with Streams. PhD thesis, Stanford University, November, 2006. (PDF) (BibTeX)
  8. Mattan Erez, Brian Towles, and William J. Dally. Spills, Fills, and Kills - An Architecture for Reducing Register-Memory Traffic. Technical report Concurrent VLSI Architecture (TR-23), Stanford University, July, 2000. (PDF) (BibTeX)

Issued Patents

  1. Stephan Jourdan, Adi Yoaz, Mattan Erez, and Ronny Ronen. US Patent #8,943,298: Meta Predictor Restoration Upon Detecting Misprediction., January, 2015. (BibTeX)
  2. Jung-Ho Ahn, Mattan Erez, and William J. Dally. US Patent #8,959,292: Atomic Memory Access Hardware Implementations., February, 2015. (BibTeX)
  3. Stephan Jourdan, Adi Yoaz, Mattan Erez, and Ronny Ronen. US Patent #8,572,358: Meta Predictor Restoration Upon Detecting Misprediction., October, 2013. (BibTeX)
  4. Stephan Jourdan, Adi Yoaz, Mattan Erez, and Ronny Ronen. US Patent #8,285,976: Method and Apparatus for Predicting Branches Using a Meta Predictor., October, 2012. (BibTeX)
  5. Adi Yoaz, Ronny Ronen, Lihu Rappoport, Mattan Erez, Stephan Jourdan, and Robert Valentine. US Patent #7,644,236: Memory Cache Bank Prediction., January, 2010. (BibTeX)
  6. Adi Yoaz, Ronny Ronen, Lihu Rappoport, Mattan Erez, Stephan Jourdan, and Robert Valentine. US Patent #6,880,063: Memory Cache Bank Prediction., April, 2005. (BibTeX)
  7. Adi Yoaz, Mattan Erez, and Ronny Ronen. US Patent #6,697,932: System and Method for Early Resolution of Low Confidence Branches and Safe Data Cache Accesses., February, 2004. (BibTeX)
  8. Adi Yoaz, Gregory Pribush, Freddy Gabbay, Mattan Erez, and Ronny Ronen. US Patent #6,757,816: Fast Branch Misprediction Recovery Method and System., June, 2004. (BibTeX)
  9. Adi Yoaz, Ronny Ronen, Lihu Rappoport, Mattan Erez, Stephan Jourdan, and Robert Valentine. US Patent #6,694,421: Cache Memory Bank Access Prediction., February, 2004. (BibTeX)

All

2023

  1. Jeageun Jung, and Mattan Erez. Predicting Future-System Reliability with a Component-Level DRAM Fault Model. In to appear in the Proceedings of the ACM/IEEE International Symposium on Microarchitecture (MICRO). 2023. (BibTeX)
  2. Ali Fakhrzadehgan, Prakash Ramrakhyani, Moinuddin Qureshi, and Mattan Erez. SecDDR: Enabling Low-Cost Secure Memories by Protecting the DDR Interface. In Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). 2023. (BibTeX)

2022

  1. Lee, Hochan, Ruys, William, Henriksen, Ian, Peters, Arthur, Yan, Yineng, Stephens, Sean, You, Bozhi, Fingler, Henrique, Burtscher, Martin, Gligoric, Milos, and others. Parla: a Python orchestration system for heterogeneous architectures. In Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC22). 2022. (BibTeX)
  2. Majid Jalili, and Mattan Erez. Reducing Load Latency with Cache Level Prediction. In in the proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). 2022. (BibTeX)
  3. Jalili, Majid, and Erez, Mattan. Managing prefetchers with deep reinforcement learning. IEEE Computer Architecture Letters, 21(2):105–108, 2022. (BibTeX)

2021

  1. Benjamin Y. Cho, Jeageun Jung, and Mattan Erez. Accelerating Bandwidth-Bound Deep Learning Inference with Main-Memory Accelerators. In in the proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC21). nov, 2021. (BibTeX)
  2. Steven Zhu, Nader Al Awar, Mattan Erez, and Milos Gligoric. Dynamic Generation of Python Bindings for HPC Kernels. In in the proceedings of the IEEE/ACM International Conference on Automated Software Engineering (ASE). 2021. (BibTeX)
  3. Amanda Raybuck, Tim Stamler, Wei Zhang, Mattan Erez, and Simon Peter. HeMem: Scalable Tiered Memory Management for Big Data Applications and Real NVM. In in the proceedings of the ACM Symposium on Operating Systems Principles (SOSP). 2021. (BibTeX)

2020

  1. L. Jaulmes, M. Moreto, M. Valero, M. Erez, and M. Casas. Runtime-Guided ECC Protection using Online Estimation of Memory Vulnerability. In the proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC20). nov, 2020, pages 1–14. ((URL)) (BibTeX)
  2. Benjamin Y. Cho, Yongkee Kwon, Sangkug Lym, and Mattan Erez. Near Data Acceleration with Concurrent Host Access. In the Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA). 2020. (BibTeX)
  3. L. Yavits, L. Orosa, S. Mahar, J. D. Ferreira, M. Erez, R. Ginosar, and O. Mutlu. WoLFRaM: Enhancing Wear-Leveling and Fault Tolerance in Resistive Memories using Programmable Address Decoders. In in the proceedings of the IEEE 38th International Conference on Computer Design (ICCD). 2020, pages 187–196. (BibTeX)
  4. Esha Choukse, Michael B. Sullivan, Mike O’Connor, Mattan Erez, Jeff Pool, David Nellans, and Stephen W. Keckler. Buddy Compression: Enabling Larger Memory for Deep Learning and HPC Workloads on GPUs. In the Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA). 2020. (BibTeX)
  5. Sangkug Lym, and Mattan Erez. FlexSA: Flexible Systolic Array Architecture for Efficient Pruned DNN Model Training., 2020. (BibTeX)
  6. Chun-Kai Chang, Guanpeng Li, and Mattan Erez. Evaluating Compiler IR-Level Selective Instruction Duplication with Realistic Hardware Errors. In the Proceedings of the IEEE/ACM Workshop on Fault Tolerance for HPC at eXtreme Scale (FTXS). 2020. (BibTeX)
  7. Benjamin Ghaemmaghami, Zihao Deng, Benjamin Cho, Leo Orshansky, Ashish Kumar Singh, Mattan Erez, and Michael Orshansky. Training with Multi-Layer Embeddings for Model Reduction., 2020. (BibTeX)

2019

  1. Haishan Zhu, David Lo, Liqun Cheng, Rama Govindaraju, Parthasarathy Ranganathan, and Mattan Erez. Kelp: QoS for Accelerators in Machine Learning Platforms. In the Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). Washington D.C. February, 2019. (PDF) (BibTeX)
  2. Chun-Kai Chang, Wenqi Yin, and Mattan Erez. Assessing The Impact of Timing Errors on HPC Applications. In the Proceedings of the ACM/IEEE International Conference on High-Performance Computing, Networking, Storage, and Analysis (SC19). 2019. (PDF) (BibTeX)
  3. Sangkug Lym, Esha Choukse, Siavash Zangeneh, Wei Wen, Sujay Sanghavi, and Mattan Erez. PruneTrain: Fast Neural Network Training by Dynamic Sparse Model Reconfiguration. In the Proceedings of the ACM/IEEE International Conference on High-Performance Computing, Networking, Storage, and Analysis (SC19). 2019. (PDF) (BibTeX)
  4. Kyushick Lee, Michael Sullivan, Siva Kumar Sastry Hari, Timothy Tsai, Stephen W. Keckler, and Mattan Erez. GPU Snapshot: Checkpoint Offloading for GPU-Dense Systems. In Proceedings of the International Conference on Supercomputing (ICS). 2019. (PDF) (BibTeX)
  5. Sangkug Lym, Donghyuk Lee, Mike O’Connor, Niladrish Chatterjee, and Mattan Erez. DeLTA: GPU Performance Model for Deep Learning. In the Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 2019. (PDF) (BibTeX)
  6. Sangkug Lym, Armand Behroozi, Wei Wen, Ge Li, Yongkee Kwon, and Mattan Erez. Mini-batch Serialization: CNN Training with Inter-layer Data Reuse. In the Proceedings of SysML 2019. 2019. ((URL)) (PDF) (BibTeX)

2018

  1. Esha Chouke, Alaa Alameldeen, and Mattan Erez. Compresso: Pragmatic Main Memory Compression. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO). Fukuoka, Japan, October, 2018. (PDF) (BibTeX)
  2. Chun-Kai Chang, Sangkug Lym, Nicholas Kelly, Michael B. Sullivan, and Mattan Erez. Evaluating and Accelerating High-Fidelity Error Injection for HPC. In Proceedings of the ACM/IEEE International Conference on High-Performance Computing, Networking, Storage, and Analysis (SC18). Dallas, TX, November, 2018. (PDF) (BibTeX)
  3. Esha Choukse, Mattan Erez, and Alaa Alameldeen. CompressPoints: An Evaluation Methodology for Compressed Memory Systems. IEEE Computer Architecture Letters, 17(2):126–129, July, 2018. (BibTeX)
  4. Tianhao Zheng, Haishan Zhu, and Mattan Erez. SIPT: Speculatively Indexed, Physically Tagged Caches. In the Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). Vienna, Austria, February, 2018, pages 1–14. (PDF) (BibTeX)
  5. Sangkug Lym, Heonjae Ha, Yongkee Kwon, Chun-Kai Chang, Jungrae Kim, and Mattan Erez. ERUCA: Efficient DRAM Resource Utilization and Resource Conflict Avoidance for Memory System Parallelism. In the Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). Vienna, Austria, February, 2018, pages 1–14. (PDF) (BibTeX)
  6. Seong-Lyong Gong, Jungrae Kim, Sangkug Lym, Michael Sullivan, Howard David, and Mattan Erez. DUO: Exposing On-Chip Redundancy to Rank-Level ECC for High Reliability. In the Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). Vienna, Austria, February, 2018, pages 1–14. (PDF) (BibTeX)
  7. Subasi, Omer, Chang, Chun-Kai, Erez, Mattan, and Krishnamoorthy, Sriram. Characterizing the Impact of Soft Errors Affecting Floating-point ALUs Using RTL-Ievel Fault Injection. In Proceedings of the International Conference on Parallel Processing (ICPP). 2018, pages 59:1–59:10. (BibTeX)

2017

  1. Zhihao Jia, Yongkee Kwon, Galen Shipman, Pat McCormick, Mattan Erez, and Alex Aiken. A Distributed Multi-GPU System for Fast Graph Processing. Proceedings of the VLDB Endownment, 11:297–310, November, 2017. ((URL)) (BibTeX)
  2. Hana Alam, Tianhao Zheng, Mattan Erez, and Yoav Etsion. Do It Yourself Virtual Memory Translation. In the Proceedings of ISCA. Toronto, Canada, June, 2017, pages 1–12. (PDF) (BibTeX)
  3. Tomer Morad, Gil Shomron, Mattan Erez, Avinoam Kolodny, and Uri Weiser. Optimizing Read-Once Data Flow in Big-Data Applications. IEEE Computer Architecture Letters, 16(1):68–71, January, 2017. (BibTeX)

2016

  1. Dong-Wan Kim, and Mattan Erez. RelaxFault Memory Repair. In the Proceedings of ISCA. Seoul, South Korea, June, 2016, pages 645–657. (PDF) (BibTeX)
  2. Jungrae Kim, Michael Sullivan, Esha Choukse, and Mattan Erez. Bit-Plane Compression: Transforming Data for Better Compression in Many-core Architectures. In the Proceedings of ISCA. Seoul, South Korea, June, 2016, pages 329–340. (PDF) (BibTeX)
  3. Jungrae Kim, Michael Sullivan, Sangkug Lym, and Mattan Erez. All-Inclusive ECC: Thorough End-to-End Protection for Reliable Computer Memory. In the Proceedings of ISCA. Seoul, South Korea, June, 2016, pages 622–633. (PDF) (BibTeX)
  4. Haishan Zhu, and Mattan Erez. Dirigent: Enforcing QoS for Latency-Critical Tasks on Shared Multicore Systems. In the Proceedings of ASPLOS. Atlanta, GA, April, 2016, pages 33–47. (PDF) (SLIDES) (BibTeX)
  5. Jaeyoung Park, Tianhao Zheng, Mattan Erez, and Michael Orshansky. Variation-Tolerant Write Completion Circuit for Variable-Energy Write STT-RAM Architecture. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(4):1351–1360, April, 2016. (BibTeX)
  6. Alan Gatherer, Haishan Zhu, and Mattan Erez. Baseband Architectures to Support Wireless Cellular Infrastructure: History and Future Evolution. In Academic Press Library in Mobile and Wireless Communications — Transmission Techniques for Digital Communications, pages 689–705. . Elsevier, 2016. ((URL)) (BibTeX)

2015

  1. Jungrae Kim, Michael Sullivan, Seong-Lyong Gong, and Mattan Erez. Frugal ECC: Efficient and Versatile Memory Error Protection through Fine-Grained Compression. In the Proceedings of SC15. Austin, TX, November, 2015, pages 12:1–12. (PDF) (BibTeX)
  2. Stephan Jourdan, Adi Yoaz, Mattan Erez, and Ronny Ronen. US Patent #8,943,298: Meta Predictor Restoration Upon Detecting Misprediction., January, 2015. (BibTeX)
  3. Dong Li, Minsoo Rhu, Daniel R. Johnson, Mike O’Connor, Mattan Erez, Doug Burger, Donald S. Fussell, and Stephen W. Keckler. Priority-Based Cache Allocation in Throughput Processors. In the Proceedings of HPCA. Burlingame, CA, February, 2015, pages 89–100. (PDF) (BibTeX)
  4. Dong Wan Kim, and Mattan Erez. Balancing Reliability, Cost, and Performance Tradeoffs with FreeFault. In the Proceedings of HPCA. Burlingame, CA, February, 2015, pages 439–450. (PDF) (BibTeX)
  5. Jung-Ho Ahn, Mattan Erez, and William J. Dally. US Patent #8,959,292: Atomic Memory Access Hardware Implementations., February, 2015. (BibTeX)
  6. Jungrae Kim, Michael Sullivan, and Mattan Erez. Bamboo ECC: Strong, Safe, and Flexible Codes for Reliable Computer Memory. In the Proceedings of HPCA. Burlingame, CA, February, 2015, pages 101–112. (PDF) (BibTeX)
  7. Seong-Lyong Gong, Minsoo Rhu, Jungrae Kim, Jinsuk Chung, and Mattan Erez. CLEAN-ECC: High Reliability ECC for Adaptive Granularity Memory System. In the Proceedings of MICRO. Waikiki, HI, December, 2015, pages 611–622. (PDF) (BibTeX)

2014

  1. Marc Snir, Robert W Wisniewski, Jacob A Abraham, Sarita V Adve, Saurabh Bagchi, Pavan Balaji, Jim Belak, Pradip Bose, Franck Cappello, Bill Carlson, Andrew A Chien, Paul Coteus, Nathan A DeBardeleben, Pedro C Diniz, Christian Engelmann, Mattan Erez, Saverio Fazzari, Al Geist, Rinku Gupta, Fred Johnson, Sriram Krishnamoorthy, Sven Leyffer, Dean Liberty, Subhasish Mitra, Todd Munson, Rob Schreiber, Jon Stearley, and Eric Van Hensbergen. Addressing Failures in Exascale Computing. International Journal of High Performance Computing Applications, 28(2):129–173, May, 2014. (BibTeX)
  2. Michael Sullivan, Ikhwan Lee, Jinsuk Chung, Song Zhang, Seong-Lyong Gong, Derong Liu, Michael LeBeane, Kyushick Lee, and Mattan Erez. Containment Domains Semantics version 0.2. Technical report Tr-LPH-2014–001, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, February, 2014. (PDF) (BibTeX)

2013

  1. Tianhao Zheng, Jaeyoung Park, Michael Orshansky, and Mattan Erez. Variable-Energy Write STT-RAM Architecture with Bit-Wise Write-Completion Monitoring. In the Proceedings of ISLPED. Beijing, China, September, 2013, pages 229–234. (PDF) (BibTeX)
  2. Michael Sullivan, Ikhwan Lee, Jinsuk Chung, Song Zhang, Seong-Lyong Gong, Derong Liu, Michael LeBeane, and Mattan Erez. Containment Domains Semantics version 0.1. Technical report Tr-LPH-2013–001, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, October, 2013. (PDF) (BibTeX)
  3. Stephan Jourdan, Adi Yoaz, Mattan Erez, and Ronny Ronen. US Patent #8,572,358: Meta Predictor Restoration Upon Detecting Misprediction., October, 2013. (BibTeX)
  4. Minsoo Rhu, and Mattan Erez. Maximizing SIMD Resource Utilization in GPGPUs with SIMD Lane Permutation. In the Proceedings of ISCA. Tel Aviv, Israel, June, 2013, pages 356–367. (PDF) (BibTeX)
  5. Jinsuk Chung, Ikhwan Lee, Michael Sullivan, Jee Ho Ryoo, Dong Wan Kim, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. Containment Domains: A Scalable, Efficient, and Flexible Resilience Scheme for Exascale Systems. Scientific Programming, 21(3):197–212, January, 2013. (BibTeX)
  6. Minsoo Rhu, and Mattan Erez. The Dual-Path Execution Model for Efficient GPU Control Flow. In the Proceedings of HPCA. Shenzhen, China, February, 2013, pages 561–602. (PDF) (BibTeX)
  7. Minsoo Rhu, Michael Sullivan, Jingwen Leng, and Mattan Erez. A Locality-Aware Memory Hierarchy for Energy-Efficient GPU Architectures. In the Proceedings of MICRO. Davis, CA, December, 2013, pages 86–98. (PDF) (BibTeX)

2012

  1. Stephan Jourdan, Adi Yoaz, Mattan Erez, and Ronny Ronen. US Patent #8,285,976: Method and Apparatus for Predicting Branches Using a Meta Predictor., October, 2012. (BibTeX)
  2. Jinsuk Chung, Ikhwan Lee, Michael Sullivan, Jee Ho Ryoo, Dong Wan Kim, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. Containment Domains: A Scalable, Efficient, and Flexible Resilience Scheme for Exascale Systems. In the Proceedings of SC12. Salt Lake City, UT, November, 2012, pages 58:1–11. (PDF) (SLIDES) (BibTeX)
  3. Doe Hyun Yoon, Naveen Muralimanohar, Jichuan Chang, Parthasarthy Ranganathan, Norman P. Jouppi, and Mattan Erez. FREE-p: A Practical End-to-End Nonvolatile Memory Protection Mechanism. IEEE Micro TopPicks, 32(3):79–87, May, 2012. (BibTeX)
  4. Doe Hyun Yoon, Min Kyu Jeong, Michael B. Sullivan, and Mattan Erez. The Dynamic Granularity Memory System. In the proceedings of ISCA. Portland, OR, June, 2012, pages 548–559. (PDF) (BibTeX)
  5. Evgeni Krimer, Patrick Chiang, and Mattan Erez. Lane Decoupling for Improving the Timing-Error Resiliency of Wide-SIMD Architectures. In the proceedings of ISCA. Portland, OR, June, 2012, pages 237–248. (PDF) (SLIDES) (BibTeX)
  6. Minsoo Rhu, and Mattan Erez. CAPRI: Prediction of Compaction-Adequacy for Handling Control-Divergence in GPGPU Architectures. In the proceedings of ISCA. Portland, OR, June, 2012, pages 61–71. (PDF) (BibTeX)
  7. Min Kyu Jeong, Chander Sudanthi, Nigel Paver, and Mattan Erez. A QoS-Aware Memory Controller for Dynamically Balancing GPU and CPU Bandwidth Use in an MPSoC. In the Proceedings of DAC. San Francisco, CA, June, 2012, pages 855–860. (PDF) (BibTeX)
  8. Min Kyu Jeong, Doe Hyun Yoon, Dam Sunwoo, Michael Sullivan, Ikhwan Lee, and Mattan Erez. Balancing DRAM Locality and Parallelism in Shared Memory CMP Systems. In the proceedings of HPCA. New Oreleans, LA, February, 2012, pages 1–12. (PDF) (BibTeX)
  9. Robert Pawlowski, Evgeni Krimer, Joseph Crop, Jacob Postman, Nariman Moezzi-Madani, Mattan Erez, and Patrick Chiang. A 530mV 10-Lane SIMD Processor With Variation Resiliency in 45nm SOI. In the proceedings of ISSCC. San Francisco, CA, February, 2012, pages 492–494. (BibTeX)
  10. Ikhwan Lee, Michael Sullivan, Evgeni Krimer, Dong Wan Kim, Mehmet Basoglu, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. Survey of Error and Fault Detection Mechanisms v2. Technical report TR-LPH-2012–001, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, December, 2012. (PDF) (BibTeX)
  11. Doe Hyun Yoon, Min Kyu Jeong, Michael Sullivan, and Mattan Erez. Towards Proportional Memory Systems. Intel Technology Journal, 17:118–139, 2012. ((URL)) (BibTeX)

2011

  1. Joseph Crop, Evgeni Krimer, Nariman Moezzi-Madani, Robert Pawlowski, Thomas Ruggeri, Patrick Chiang, and Mattan Erez. Error Detection and Recovery Techniques for Variation-Aware CMOS Computing: A Comprehensive Review. Journal of Low Power Electronics and Applications, 1(3):334–356, October, 2011. (BibTeX)
  2. Evgeni Krimer, Isaac Keslassy, Avinoam Kolodny, Isask’har Walter, and Mattan Erez. Static timing analysis for modeling QoS in networks on chip. Journal of Parallel and Distributed Computing, 71(5):687–699, May, 2011. (PDF) (BibTeX)
  3. Evgeni Krimer, and Mattan Erez. The Power of 1 + $\alpha$; for Memory-Efficient Bloom Filters. Internet Mathematics, 7(1):28–44, March, 2011. (PDF) (BibTeX)
  4. Doe Hyun Yoon, Min Kyu Jeong, and Mattan Erez. Adaptive Granularity Memory Systems: A Tradeoff between Storage Efficiency and Throughput. In the proceedings of ISCA. San Jose, CA, June, 2011, pages 295–306. (PDF) (BibTeX)
  5. Michael Sullivan, Doe Hyun Yoon, and Mattan Erez. Containment Domains: A Full-System Approach to Computational Resiliency. Technical report TR-LPH-2011–001, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, January, 2011. (PDF) (BibTeX)
  6. Doe Hyun Yoon, Naveen Muralimanohar, Jichuan Chang, Parthasarathy Ranganathan, Norman P. Jouppi, and Mattan Erez. FREE-p: Protecting Non-Volatile Memory against both Hard and Soft Errors. In the proceedings of HPCA. San Antonio, TX, February, 2011, pages 466–477. (PDF) (SLIDES) (BibTeX)
  7. Ikhwan Lee, Mehmet Basoglu, Michael Sullivan, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. Survey of Error and Fault Detection Mechanisms. Technical report TR-LPH-2011–002, LPH Group, Department of Electrical and Computer Engineering, The University of Texas at Austin, April, 2011. (PDF) (BibTeX)
  8. Doe Hyun Yoon, and Mattan Erez. Virtualized ECC: Flexible Reliability in Main Memory. IEEE Micro, 31(1):11–19, 2011. (BibTeX)

2010

  1. Doe Hyun Yoon, and Mattan Erez. Virtualized and Flexible ECC for Main Memory. In the proceedings of ASPLOS. Pittsburgh, PA, March, 2010, pages 397–408. (PDF) (SLIDES) (BibTeX)
  2. Adi Yoaz, Ronny Ronen, Lihu Rappoport, Mattan Erez, Stephan Jourdan, and Robert Valentine. US Patent #7,644,236: Memory Cache Bank Prediction., January, 2010. (BibTeX)
  3. Evgeni Krimer, Robert Pawlowski, Mattan Erez, and Patrick Chiang. Synctium: a Near-Threshold Stream Processor for Energy-Constrained Parallel Applications. IEEE IEEE Computer Architecture Letters, 9(1):21–24, January, 2010. (PDF) (BibTeX)
  4. Mehmet Basoglu, Michael Orshansky, and Mattan Erez. NBTI-Aware DVFS: a New Approach To Saving Energy And Increasing Processor Lifetime. In the proceedings of ISLPED. Austin, TX, August, 2010, pages 253–258. (PDF) (BibTeX)

2009

  1. Mehmet Basoglu, and Mattan Erez. Improving Multi-core Processor Energy Efficiency and Lifetime by Embracing Variability and Wearout. In the proceedings of the Austin Conference on Integrated Systems and Circuits (ACISC). Austin, TX, October, 2009, pages 1–5. (PDF) (BibTeX)
  2. Doe Hyun Yoon, and Mattan Erez. Flexible Cache Error Protection using an ECC FIFO. In the proceedings of SC09. Portland, OR, November, 2009, pages 49:1–12. (PDF) (BibTeX)
  3. Doe Hyun Yoon, and Mattan Erez. Memory Mapped ECC: Low-Cost Error Protection for Last Level Caches. In the proceedings of ISCA. Austin, TX, June, 2009, pages 116–127. (PDF) (SLIDES) (BibTeX)
  4. Evgeni Krimer, Isaac Keslassy, Avinoam Kolodny, Isask’har Walter, and Mattan Erez. Packet-Level Static Timing Analysis for NoCs. Technical report CCIT #737, Department of Electrical Engineering, Technion, July, 2009. (BibTeX)
  5. Tushar Krishna, Amit Kumar, Li-Shiuan Peh, Jacob Postman, Patrick P. Chiang, and Mattan Erez. Express Virtual Channels with Capacitively Driven Global Links. IEEE Micro, 29:48–61, August, 2009. (BibTeX)
  6. Mattan Erez, and William J. Dally. Stream Processors. In Multicore Processors and Systems, pages 231–270. . Springer, 2009. ((URL)) (BibTeX)

2008

  1. Tushar Krishna, Amit Kumar, Patrick Chiang, Mattan Erez, and Li-Shiuan Peh. NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication. In the proceedings of High-Performance Interconnects (HotI-16). Stanford, CA, August, 2008, pages 11–20. (PDF) (BibTeX)

2007

  1. Jayanth Gummaraju, Mattan Erez, Joel Coburn, Mendel Rosenblum, and William J. Dally. Architectural Support for the Stream Execution Model on General-Purpose Processors. In the proceedings of PACT. Brasov, Romania, September, 2007, pages 3–12. ((URL)) (PDF) (BibTeX)
  2. Timothy Knight, Ji Young Park, Manman Ren, Mike Houston, Mattan Erez, Kayvon Fatahalian, Alex Aiken, William Dally, and Pat Hanrahan. Compilation for Explicitly Managed Memory Hierarchies. In the proceedings of PPoPP. San Jose, CA, March, 2007, pages 226–236. (PDF) (BibTeX)
  3. Mattan Erez, Jung Ho Ahn, Jayanth Gummaraju, Mendel Rosenblum, and William J. Dally. Executing Irregular Scientific Applications on Stream Architectures. In the proceedings of ICS. Seattle, WA, June, 2007, pages 93–104. (PDF) (BibTeX)
  4. Jung Ho Ahn, William J. Dally, and Mattan Erez. Tradeoff between Data-, Instruction-, and Thread-level Parallelism in Stream Processors. In the proceedings of ICS. Seattle, WA, June, 2007, pages 126–137. (PDF) (BibTeX)

2006

  1. Kayvon Fatahalian, Timothy J. Knight, Mike Houston, Mattan Erez, Daniel Reiter Horn, Larkhoon Leem, Ji Young Park, Manman Ren, Alex Aiken, William J. Dally, and Pat Hanrahan. Sequoia: programming the memory hierarchy. In the proceedings of SC06. Tampa, FL, November, 2006. ACM, pages 83:1–13. (PDF) (BibTeX)
  2. Jung Ho Ahn, Mattan Erez, and William J. Dally. The design space of data-parallel memory systems. In the proceedings of SC06. Tampa, FL, November, 2006. ACM, pages 80:1–12. (PDF) (BibTeX)
  3. Mattan Erez. Merrimac — High-Performance, Highly-Efficient Scientific Computing with Streams. PhD thesis, Stanford University, November, 2006. (PDF) (BibTeX)
  4. Ulrich Barnhoefer, Moon-Jung Kim, and Mattan Erez. A Low Power, Passively Cooled 2000cd/m2 Hybrid LED-LCD Display. In the proceedings of IEEE International Symposium on Consumer Electronics. St. Petersburg, Russia, June, 2006, pages 1–4. (PDF) (BibTeX)

2005

  1. Mattan Erez, Nuwan Jayasena, Timothy J. Knight, and William J. Dally. Fault Tolerance Techniques for the Merrimac Streaming Supercomputer. In the proceedings of SC05. Seattle, WA, November, 2005, pages 29:1–11. (PDF) (BibTeX)
  2. Jung Ho Ahn, Mattan Erez, and William J. Dally. Scatter-Add in Data Parallel Architectures. In the proceedings of HPCA. San Francisco, CA, February, 2005, pages 132–142. (PDF) (BibTeX)
  3. Adi Yoaz, Ronny Ronen, Lihu Rappoport, Mattan Erez, Stephan Jourdan, and Robert Valentine. US Patent #6,880,063: Memory Cache Bank Prediction., April, 2005. (BibTeX)

2004

  1. Mattan Erez, Jung Ho Ahn, Ankit Garg, William J. Dally, and Eric Darve. Analysis and Performance Results of a Molecular Modeling Application on Merrimac. In the proceedings of SC04. Pittsburgh, PA, November, 2004, pages 42:1–10. (PDF) (BibTeX)
  2. Adi Yoaz, Gregory Pribush, Freddy Gabbay, Mattan Erez, and Ronny Ronen. US Patent #6,757,816: Fast Branch Misprediction Recovery Method and System., June, 2004. (BibTeX)
  3. Nuwan Jayasena, Mattan Erez, Jung Ho Ahn, and William J. Dally. Stream Register Files with Indexed Access. In the proceedings of HPCA. Madrid, Spain, February, 2004, pages 60–72. (PDF) (BibTeX)
  4. Adi Yoaz, Mattan Erez, and Ronny Ronen. US Patent #6,697,932: System and Method for Early Resolution of Low Confidence Branches and Safe Data Cache Accesses., February, 2004. (BibTeX)
  5. Adi Yoaz, Ronny Ronen, Lihu Rappoport, Mattan Erez, Stephan Jourdan, and Robert Valentine. US Patent #6,694,421: Cache Memory Bank Access Prediction., February, 2004. (BibTeX)

2003

  1. William J. Dally, Patrick Hanrahan, Mattan Erez, Timothy J. Knight, Francois Labonte, Jung-Ho Ahn, Nuwan Jayasena, Ujval J. Kapasi, Abhishek Das, Jayanth Gummaraju, and Ian Buck. Merrimac: Supercomputing with Streams. In the proceedings of SC03. Phoenix, AZ, November, 2003, pages 35:1–8. (PDF) (BibTeX)

2000

  1. Mattan Erez, Brian Towles, and William J. Dally. Spills, Fills, and Kills - An Architecture for Reducing Register-Memory Traffic. Technical report Concurrent VLSI Architecture (TR-23), Stanford University, July, 2000. (PDF) (BibTeX)
  2. Stephan Jourdan, Lihu Rappoport, Yoav Almog, Mattan Erez, Adi Yoaz, and Ronny Ronen. eXtendedBlock Cache. In the proceedings of HPCA. Toulouse, France, January, 2000, pages 61–70. (PDF) (BibTeX)

1999

  1. Adi Yoaz, Mattan Erez, Ronny Ronen, and Stephan Jourdan. Speculation Techniques for Improving Load Related Instruction Scheduling. In the proceeings of ISCA. Atlanta, GA, May, 1999, pages 42–53. (PDF) (BibTeX)